Toggle Main Menu Toggle Search

Open Access padlockePrints

Browsing publications by Dr Danil Sokolov.

Newcastle AuthorsTitleYearFull text
Dr Alex Chan
Dr Danil Sokolov
Dr Victor Khomenko
Professor David Lloyd
Professor Alex Yakovlev
et al.
Burst Automaton: Framework for Speed-Independent Synthesis using Burst-Mode Specifications2022
Dr Alex Chan
Dr Danil Sokolov
Dr Victor Khomenko
Professor David Lloyd
Professor Alex Yakovlev
et al.
Synthesis of SI Circuits from Burst-Mode Specifications2021
Dr Danil Sokolov
Dr Victor Khomenko
Professor Alex Yakovlev
Asynchronous Circuit2020
Alessandro de Gennaro
Dr Danil Sokolov
Dr Andrey Mokhov
Design and Implementation of Reconfigurable Asynchronous Pipelines2020
Dr Victor Khomenko
Dr Danil Sokolov
Professor Alex Yakovlev
Professor David Lloyd
Handshake Verification in Workcraft2020
Dr Victor Khomenko
Dr Danil Sokolov
Professor Alex Yakovlev
Tools and Methods for Selection of Relative Timing Constraints in Asynchronous Circuits, and Asynchronous Circuits Made Thereby2020
Dr Danil Sokolov
Dr Victor Khomenko
Dr Andrey Mokhov
Vladimir Dubikhin
Professor Alex Yakovlev
et al.
Automating the Design of Asynchronous Logic Control for AMS Electronics2019
Dr Stanislavs Golubcovs
Dr Andrey Mokhov
Dr Alex Bystrov
Dr Danil Sokolov
Professor Alex Yakovlev
et al.
Generalised Asynchronous Arbiter2019
Adrian Wheeldon
Jordan Morris
Dr Danil Sokolov
Professor Alex Yakovlev
Self-timed, Minimum Latency Circuits for the Internet of Things2019
Alberto Moreno Vega
Dr Danil Sokolov
Synthesis from Waveform Transition Graphs2019
Dr Danil Sokolov
Dr Oleg Maevsky
Professor Alex Yakovlev
Toward Designing Thermally-Aware Memristance Decoder2019
Thomas Bunnam
Dr Danil Sokolov
Professor Alex Yakovlev
An Excitation Time Model for General-purpose Memristance Tuning Circuit2018
Dr Danil Sokolov
Dr Victor Khomenko
Professor Alex Yakovlev
Design and Verification of Speed-Independent Circuits with Arbitration in Workcraft2018
Jonny Beaumont
Dr Andrey Mokhov
Dr Danil Sokolov
Professor Alex Yakovlev
High-level asynchronous concepts at the interface between analogue and digital worlds2018
Oyinkuro Benafa
Dr Danil Sokolov
Professor Alex Yakovlev
Loadable kessels counter2018
Dr Danil Sokolov
Alessandro De Gennaro
Dr Andrey Mokhov
Reconfigurable asynchronous pipelines: From formal models to silicon2018
Dr Issa Qiqieh
Dr Rishad Shafik
Dr Ghaith Tarawneh
Dr Danil Sokolov
Professor Alex Yakovlev
et al.
Significance-Driven Logic Compression for Energy-Efficient Multiplier Design2018
Dr Frank Burns
Dr Danil Sokolov
Professor Alex Yakovlev
A Structured Visual Approach to GALS Modelling and Verification of Communication Circuits2017
Vladimir Dubikhin
Professor Christopher Myers
Dr Danil Sokolov
Ioannis Syranidis
Professor Alex Yakovlev
et al.
Advances in Formal Methods for the Design of Analog/Mixed-Signal Systems2017
Dr Andrey Mokhov
Dr Danil Sokolov
Dr Victor Khomenko
Professor Alex Yakovlev
Asynchronous Arbitration Primitives for New Generation of Circuits and Systems2017
Dr Danil Sokolov
Asynchronous Dataflow De-Elastisation for Efficient Heterogeneous Synthesis2017
Dr Danil Sokolov
Vladimir Dubikhin
Dr Victor Khomenko
Dr Andrey Mokhov
Professor Alex Yakovlev
et al.
Benefits of Asynchronous Control for Analog Electronics: Multiphase Buck Case Study2017
Johnson Fernandes
Dr Danil Sokolov
Professor Alex Yakovlev
Elastic Bundles: Modelling and Synthesis of Asynchronous Circuits with Granular Rigidity2017
Dr Issa Qiqieh
Dr Rishad Shafik
Dr Ghaith Tarawneh
Dr Danil Sokolov
Professor Alex Yakovlev
et al.
Energy-Efficient Approximate Multiplier Design using Bit Significance-Driven Logic Compression2017
Dr Issa Qiqieh
Dr Rishad Shafik
Dr Ghaith Tarawneh
Dr Danil Sokolov
Professor Alex Yakovlev
et al.
Energy-Efficient Approximate Wallace Tree Multiplier using Significance-Driven Logic Compression2017
Dr Victor Khomenko
Dr Andrey Mokhov
Dr Danil Sokolov
Professor Alex Yakovlev
Formal Design and Verification of an Asynchronous SRAM Controller2017
Adrian Wheeldon
Jordan Morris
Dr Danil Sokolov
Professor Alex Yakovlev
Power proportional adder design for Internet of Things in a 65nm process2017
Dr Danil Sokolov
Professor Alex Yakovlev
Pulse controlled memristor-based delay element2017
Dr Sergey Mileiko
Dr Alexander Kushnerov
Dr Danil Sokolov
Professor Alex Yakovlev
Self-timed control of multiphase switched capacitor converters2017
Dr Sergey Mileiko
Dr Alexander Kushnerov
Dr Danil Sokolov
Professor Alex Yakovlev
Self-timed control of two-phase switched capacitor converters2017
Dr Victor Khomenko
Dr Danil Sokolov
Dr Andrey Mokhov
Professor Alex Yakovlev
WAITX: An Arbiter for Non-Persistent Signals2017
Dr Danil Sokolov
Professor Alex Yakovlev
Waveform Transition Graphs: A Designer-Friendly Formalism for Asynchronous Behaviours2017
Dr Frank Burns
Dr Danil Sokolov
Professor Alex Yakovlev
Analysis and Verification of Communication Fabrics2016
Vladimir Dubikhin
Professor Christopher Myers
Professor Alex Yakovlev
Dr Danil Sokolov
Design of Mixed-Signal Systems With Asynchronous Control2016
Hubin Zhao
Dr Fahimeh Dehkhoda
Dr Reza Ramezani
Dr Danil Sokolov
Professor Patrick Degenaar
et al.
A CMOS-based Neural Implantable Optrode for Optogenetic Stimulation and Electrical Recording2015
Jonny Beaumont
Dr Andrey Mokhov
Dr Danil Sokolov
Professor Alex Yakovlev
Compositional design of asynchronous circuits from behavioural concepts2015
Dr Danil Sokolov
Dr Victor Khomenko
Dr Andrey Mokhov
Professor Alex Yakovlev
Design and Verification of Speed-Independent Multiphase Buck Controller2015
Dr Danil Sokolov
Professor Alex Yakovlev
GALS synthesis and verification for xMAS models2015
Dr Frank Burns
Dr Danil Sokolov
Professor Alex Yakovlev
GALS synthesis and verification for xMAS models2015
Dr Frank Burns
Dr Danil Sokolov
Professor Alex Yakovlev
GALS synthesis and verification for xMAS models2015
Dr Andrey Mokhov
Dr Victor Khomenko
Dr Danil Sokolov
Professor Alex Yakovlev
Opportunistic Merge Element2015
Dr Andrey Mokhov
Dr Victor Khomenko
Dr Danil Sokolov
Professor Alex Yakovlev
Opportunistic Merge Element2015
Johnson Fernandes
Professor Maciej Koutny
Dr Lukasz Mikulski
Dr Marta Koutny
Dr Danil Sokolov
et al.
Persistent and Non-violent Steps and the Design of GALS Systems2015
Nabeel Fattah
Dr Soumyasanta Laha
Dr Danil Sokolov
Dr Graeme Chester
Professor Patrick Degenaar
et al.
Wireless Data and Power Transfer of an Optogenetic Implantable Visual Cortex Stimulator2015
Hubin Zhao
Dr Danil Sokolov
Professor Patrick Degenaar
An implantable optrode with Self-diagnostic function in 0.35μm CMOS for optical neural stimulation2014
Dr Andrey Mokhov
Dr Danil Sokolov
Professor Alex Yakovlev
Design of Processors with Reconfigurable Microarchitecture2014
Dr Alexei Iliasov
Arseniy Alekseyev
Dr Danil Sokolov
Dr Andrey Mokhov
Design of safety critical systems by refinement2014
Dr Alexei Iliasov
Arseniy Alekseyev
Dr Danil Sokolov
Dr Andrey Mokhov
Design of safety critical systems by refinement2014
Dr Danil Sokolov
Professor Alex Yakovlev
GALS Partitioning by Behavioural Decoupling Expressed in Petri Nets2014
Dr Andrey Mokhov
Dr Alexei Iliasov
Dr Danil Sokolov
Maxim Rykunov
Professor Alex Yakovlev
et al.
Synthesis of Processor Instruction Sets from High-Level ISA Specifications2014
Dr Danil Sokolov
Dr Andrey Mokhov
Professor Alex Yakovlev
Towards asynchronous power management2014
Maxim Rykunov
Dr Andrey Mokhov
Dr Danil Sokolov
Professor Alex Yakovlev
Design-for-Adaptivity of Microarchitectures2013
Johnson Fernandes
Professor Maciej Koutny
Dr Lukasz Mikulski
Dr Marta Koutny
Dr Danil Sokolov
et al.
Persistent and Nonviolent Steps and the Design of GALS Systems2013
Johnson Fernandes
Professor Maciej Koutny
Dr Marta Koutny
Dr Danil Sokolov
Professor Alex Yakovlev
et al.
Step Persistence in the Design of GALS Systems2013
Dr Andrey Mokhov
Dr Danil Sokolov
Professor Alex Yakovlev
Towards reconfigurable processors for power-proportional computing2013
Dr Andrey Mokhov
Dr Danil Sokolov
Professor Alex Yakovlev
Adapting Asynchronous Circuits to Operating Conditions by Logic Parametrisation2012
Dr Andrey Mokhov
Dr Victor Khomenko
Dr Danil Sokolov
Professor Alex Yakovlev
On Dual-Rail Control Logic for Enhanced Circuit Robustness2012
Johnson Fernandes
Professor Maciej Koutny
Dr Marta Koutny
Dr Danil Sokolov
Professor Alex Yakovlev
et al.
Step Persistence in the Design of GALS Systems2012
Dr Fei Xia
Dr Andrey Mokhov
Dr Yu Zhou
Dr Yifan Chen
Emeritus Professor Isi Mitrani
et al.
Towards power-elastic systems through concurrency management2012
Dr Danil Sokolov
Narrowing the margins with elastic clocks2010
Dr Andrey Mokhov
Dr Victor Khomenko
Dr Danil Sokolov
Professor Alex Yakovlev
On Dual-Rail Control Logic for Enhanced Circuit Robustness2010
Dr Danil Sokolov
Ivan Poliakov
Professor Alex Yakovlev
Analysis of Static Data Flow Structures2008
Ivan Poliakov
Dr Andrey Mokhov
Ashur Rafiev
Dr Danil Sokolov
Professor Alex Yakovlev
et al.
Automated Verification of Asynchronous Circuits Using Circuit Petri Nets2008
Ashur Rafiev
Dr Julian Murphy
Dr Danil Sokolov
Professor Alex Yakovlev
Conversion Driven Design of Binary to Mixed Radix Circuits2008
Ashur Rafiev
Dr Julian Murphy
Dr Danil Sokolov
Professor Alex Yakovlev
Conversion Driven Design of Binary to Mixed Radix Circuits2008
Professor Alex Yakovlev
Dr Danil Sokolov
Ivan Poliakov
Self-timed Circuit Design: Stepping from Control to Data Path2008
Dr Danil Sokolov
Ivan Poliakov
Professor Alex Yakovlev
Asynchronous Data Path Models2007
Dr Danil Sokolov
Dr Alex Bystrov
Professor Alex Yakovlev
Direct mapping of low-latency asynchronous controllers from STGs2007
Dr Delong Shang
Professor Alex Yakovlev
Dr Albert Koelmans
Dr Danil Sokolov
Dr Alex Bystrov
et al.
Registers for Phase Difference Based Logic2007
Ivan Poliakov
Dr Danil Sokolov
Dr Andrey Mokhov
Workcraft: A static data flow structure editing, visualisation and analysis tool2007
Dr Danil Sokolov
[PhD Thesis] Automated synthesis of asynchronous circuits using direct mapping for control and data paths2006
Dr Danil Sokolov
Professor Alex Yakovlev
Clock-less circuits and system synthesis2006
Dr Yu Zhou
Dr Danil Sokolov
Professor Alex Yakovlev
Cost-aware synthesis of asynchronous circuits based on partial acknowledgement2006
Dr Yu Zhou
Dr Danil Sokolov
Professor Alex Yakovlev
Cost-aware synthesis of asynchronous datapath based on partial acknowledgement2006
Dr Delong Shang
Dr Frank Burns
Dr Alex Bystrov
Dr Albert Koelmans
Dr Danil Sokolov
et al.
High-security asynchronous circuit implementation of AES2006
Dr Danil Sokolov
Indie2006
Deepali Koppad
Dr Danil Sokolov
Dr Alex Bystrov
Professor Alex Yakovlev
Online testing by protocol decomposition2006
Dr Danil Sokolov
ProtoDe2006
Dr Danil Sokolov
Professor Alex Yakovlev
Clockless circuits and system synthesis2005
Dr Danil Sokolov
Dr Julian Murphy
Dr Alex Bystrov
Professor Alex Yakovlev
Design and analysis of dual-rail circuits for security applications2005
Dr Delong Shang
Dr Frank Burns
Dr Alex Bystrov
Dr Albert Koelmans
Dr Danil Sokolov
et al.
A Low and Balanced Power Implementation of the AES Security Mechanism Using Self-Timed Circuits2004
Dr Delong Shang
Dr Frank Burns
Dr Alex Bystrov
Dr Albert Koelmans
Dr Danil Sokolov
et al.
A low and balanced power implementation of the AES security mechanism using self-timed circuits2004
Dr Delong Shang
Dr Frank Burns
Dr Alex Bystrov
Dr Albert Koelmans
Dr Danil Sokolov
et al.
A low and balanced power implementation of the AES security mechanism using self-timed circuits2004
Dr Julian Murphy
Dr Danil Sokolov
Dr Alex Bystrov
Experiments with adding security to synchronous netlists2004
Dr Danil Sokolov
Dr Alex Bystrov
Professor Alex Yakovlev
Improving the security of dual-rail circuits2004
Dr Danil Sokolov
Dr Julian Murphy
Dr Alex Bystrov
Professor Alex Yakovlev
Improving the security of dual-rail circuits2004
Dr Danil Sokolov
Dr Julian Murphy
Dr Alex Bystrov
Professor Alex Yakovlev
Improving the security of dual-rail circuits2004
Dr Danil Sokolov
VeriMap2004
Dr Alex Bystrov
Dr Danil Sokolov
Professor Alex Yakovlev
Dr Albert Koelmans
Balancing power signature in secure systems2003
Dr Alex Bystrov
Dr Danil Sokolov
Professor Alex Yakovlev
Low-latency control structures with slack2003
Dr Alex Bystrov
Dr Danil Sokolov
Professor Alex Yakovlev
OR-causality in low-latency asynchronous circuits2003
Dr Danil Sokolov
Dr Alex Bystrov
Professor Alex Yakovlev
STG optimisation in the direct mapping of asynchronous circuits2003
Dr Danil Sokolov
Dr Alex Bystrov
Professor Alex Yakovlev
STG optimisation in the direct mapping of asynchronous circuits2003
Dr Danil Sokolov
Dr Alex Bystrov
Professor Alex Yakovlev
Automated design of low-latency asynchronous circuits by direct mapping2002
Dr Alex Bystrov
Dr Danil Sokolov
Professor Alex Yakovlev
Low-latency control structures with slack2002
Dr Danil Sokolov
OptiMist2002